Inside the machine that saved Moore's Law MIT Technology Review

2021-11-13 06:52:21 By : Mr. Thomas chen

The Dutch company ASML spent 9 billion U.S. dollars and 17 years to develop a method to continue manufacturing denser computer chips.

Patrick Whelan gazed at the progress of things through the panel of his clean room bunny suit.

In front of him was a piece of gleaming glass, about the size of an oven, with many excavated parts engraved on it to reduce weight, and it looked like an alien totem. Whelan's team is gluing it to a large aluminum plate the size of a coffee table. The metal and glass are very smooth and have been polished for several weeks to remove tiny flaws. In the next 24 hours, as the glue solidifies, the workers neurologically monitor the position of the glass and metal to ensure that they are completely fused together.

"These will be placed together to achieve micron-level accuracy," Whelan told me, pointing to the device.

The nearby technician worried that he was too close and shouted: Back! 

"I won't touch! I won't touch!" Huilan said with a smile.

Precision is a serious business here. I'm in the clean room of the Dutch company ASML in Wilton, Connecticut, which makes the world's most advanced photolithography machine—a key process used to make transistors, wires, and other basic components of microchips. This is a coveted device with a model cost of up to 180 million U.S. dollars for the rapid production of microchip functions as small as 13 nanometers. If you are Intel or TSMC and want to make the world's fastest cutting-edge computer processor, then this level of accuracy is crucial. The final machine was assembled at ASML's headquarters in the Netherlands. It was the size of a small bus and was equipped with 100,000 tiny coordinating agencies, including a system that used lasers 50,000 times per second. Four 747s are needed to ship a 747 to a customer.

"This is a very difficult technology-in terms of complexity, it may fall into the Manhattan Project category," said Sam Sivakumar, Intel's director of lithography. 

At Wilton, the glass and metal modules Whelan and his team are building are particularly critical. It will carry the pattern needed to make the microchip, and when the machine irradiates it with extreme ultraviolet (EUV) light, it will swing back and forth to illuminate different parts of the chip pattern. The light will then be reflected on a silicon wafer the size of a dinner plate, burning the pattern in place. 

Whelan walked to a video monitor, showing that one of these glass and metal devices was pulling back and forth during the test. It weighs 30 kg, but it moves in the blur. 

"This is faster than the acceleration of a fighter jet," Whelan said, his beard and glasses obscured by his gear. "If there is anything loose, it will fly away." More importantly, he said, the device must be parked at a nanometer-sized spot-"So you have one of the fastest things on earth that can almost be Settle down on the smallest point of anything." 

This combination of speed and accuracy is the key to keeping up with Moore’s Law-it has been observed that as components become smaller, the number of transistors in a microchip doubles approximately every two years, making the chip cheaper and cheaper. powerful. The tighter the transistor is packaged, the faster the electrical signals around the chip. Since the 1960s, chip makers have shrunk components by switching to new forms of light with shorter wavelengths every ten years or so. But by the end of the 90s, manufacturers were still stuck on 193nm light-they were arguing fiercely about what to do next. The situation is getting more and more serious. Chipmakers had to use increasingly complex designs and technologies to keep Moore's Law running, but they managed to maintain the performance gains for another 20 years. 

Then, in 2017, ASML launched its production-ready EUV machine, which uses light with a wavelength of only 13.5 nanometers. With such a short wavelength, chip makers can assemble transistors more densely than ever before. The CPU can process numbers faster, use less power, or just become smaller. The first-generation chips with tiny EUV functions are already working in big companies like Google and Amazon. They have improved language translation, search engine results, photo recognition, and even artificial intelligence like GPT-3, which can interact with a creepy Human conversation and writing quality. The EUV revolution is also affecting everyday consumers, because ASML machines are used to make chips for products, including some Apple smartphones and Macs, AMD processors and Samsung's Note10 mobile phones. As EUV machines become more common, it will improve performance and reduce the power requirements of more and more everyday equipment. EUV technology can also achieve simpler designs, which allows chip manufacturers to move faster and produce more chips per wafer, thereby saving costs and passing them on to consumers.

The success of EUV lithography is far from guaranteed. Light is very difficult to manipulate, and experts have predicted that ASML will never be able to figure it out for many years. In fact, ASML's competitors Canon and Nikon both gave up trying a few years ago. So ASML now has a place in the market: if you want to create the most cutting-edge processor, you need a machine from it. ASML only produces 55 a year and sells them to chip giants in the industry; more than 100 have been installed so far. 

"Moore's Law is basically disintegrating, and without this machine, it would have disappeared," said Wayne Lam, director of research at CCS Insight. "Without EUV, you really can't make any leading processors." 

It is extremely rare for a company to monopolize such a critical part of microchip production. What is even more surprising is the arduousness of the work: ASML has spent 9 billion US dollars in research and development and 17 years of research, which is an uninterrupted experiment, adjustment and "aha" breakthrough. EUV is here now-it is working. But the effort and time it took to implement it—and its lateness—have caused some unavoidable problems. How long can EUV make Moore's Law last? What will happen next?

When Jos Benschop joined ASML in 1997, he entered a chip industry worried about the future after working at Phillips for a long time. For decades, chip manufacturing engineers have mastered lithography technology. The concept is simple. You design the components of the chip—its wires and semiconductors—and then etch them into a series of "masks", just like you would make a template to place a pattern on a T-shirt. Then place each mask on the silicon wafer and shine light through it (roughly equivalent to spraying paint on the template). The light hardens the "resist", which is the chemical layer on the surface of the wafer; other chemicals then etch the pattern into the silicon. In the 1960s, chip makers used visible light in this process with wavelengths as small as 400 nanometers. Then they turned to 248 nm ultraviolet light and gradually reduced it to 193 nm—commonly called deep ultraviolet light. Each switch brought them years of expansion of Moore's Law.

But by the end of the 1990s, they had reduced the range of deep ultraviolet as much as possible, and they were not sure how to reduce it. It seems that a new light source is needed. At the time, ASML was a small company with only 300 employees and had successfully sold its deep ultraviolet lithography tools. But they realized that in order to stay relevant, they needed to do some serious research and development. 

Benschop is a tall, angular executive with an enthusiastic and humorous attitude and was hired as the first research employee. He began to participate in large conferences held twice a year, and thoughtful people from major chip companies and government agencies would touch their chins and argue about which form of light to use next.

"What will be the next kid on the block?" That's what Benschop said when we spoke on Zoom last summer. Experts have considered several options, and all of them have big problems. One idea is to use ion spray to draw patterns on the chip; that will work, but no one can figure out how to do this quickly on a large scale. The same is true for emitting electron beams. Some people advocate the use of X-rays with very small wavelengths, but they also face challenges. The final idea is extreme ultraviolet, which can have a wavelength as low as 13.5 nanometers-very close to X-rays. looks great.

The problem is that EUV requires a completely new form of lithography machine. Existing uses traditional glass lenses to focus the light onto the wafer. But EUV light is absorbed by glass; it stops dying. If you want to focus on it, you must develop curved mirrors like those used in space telescopes. To make matters worse, EUV is even absorbed by air, so you need to make the inside of the machine a completely sealed vacuum. You need to reliably produce EUV light; no one knows how to do this.

Both Intel and the US Department of Energy have revised this idea. But these are mainly laboratory experiments. To create a viable chip manufacturing lithography machine, you need to develop reliable technology that can work quickly and mass-produce chips.

After three years of deliberation, ASML decided to bet on the company in 2000 and try to master EUV. They are a small company, but if they can do this, they will become a giant. 

Benschop recalled that there were too many engineering problems to be solved, "We have no incentive to solve it ourselves." Therefore, ASML executives began to convene companies that make components for their existing machines. A call was made to Zeiss, a German optical company that has been manufacturing glass lenses for ASML for many years. 

ZEISS engineers have experience in EUV, including manufacturing extremely precise lenses and mirrors for X-ray telescopes. The trick is to coat the surface of the EUV mirror with alternating layers of silicon and molybdenum, each layer being only a few nanometers thick. Together, they create a pattern that can reflect up to 70% of EUV light.

The question is how to polish them. This machine ultimately requires 11 mirrors to reflect EUV light and focus it on the chip, just like 11 table tennis players bounce the ball from one ball to another to the target. Since the goal is to etch chip components in nanometers, each mirror must be very smooth. The smallest defects can lead EUV photons astray.

In order to give a sense of scale, if you blow the mirror in the bathroom to the size of Germany, it will have a bulge about five meters high. The smoothest EUV mirror that Zeiss engineers made for the space telescope was blown to the same size, and the bump was only 2 cm high. These mirrors used in ASML must be smooth by several orders of magnitude: if they are the size of Germany, their biggest defect may be less than a millimeter high. "These are indeed the most accurate mirrors in the world," said Peter Kürz, who is responsible for the development of Zeiss's next-generation EUV optics. 

A large part of Zeiss's job is to inspect the mirror to look for defects, then use an ion beam to knock out individual molecules, and gradually smooth the surface after months of work.

While Zeiss is developing the mirror, Benschop and other ASML suppliers are dealing with another major challenge for them: how to create a light source that can generate a stable EUV flow. 

It will haunt them for many years.

To produce EUV, you need to create plasma, which is a phase of matter that only exists at extremely high temperatures. In early experiments, they used laser pulses to irradiate lithium to produce EUV light, and they switched to tin, which produced a larger burst.

It has fueled the prosperity of the past 50 years. But now the ending is here.

By the early 2000s, ASML had collaborated with the San Diego company Cymer and the German laser company Trumpf to produce devices similar to Rube Goldberg. There is a heating container to keep the tin liquid. It enters a nozzle and shoots a drop of molten tin—“one third the diameter of a human hair,” said Danny Brown, the company’s Australian-born vice president of technology development—into the bottom of the machine, and the camera system tracks it. progress. When it reaches the center of the light-emitting chamber, the laser pulse hits the tin drop. The tin burns in a burst at a temperature of about 500,000 K, producing a plasma that emits EUV light. The mechanism repeats this process, shooting and destroying tin drops 50,000 times per second.

"It's not straightforward, let's say that," Brown said coldly. 

Although they can now produce EUV light, Brown and his team quickly discovered new problems. The ions produced by the tin explosion can clog optical components. They realized that in order to clean these things, they could pump hydrogen into the light chamber, where it would react with tin ions and help scoop them away.

But they soon fell behind plan. Benschop initially predicted that they would have EUV machines in "batch" by 2006. In fact, they had only produced two prototypes that year. Prototype work, the etching pattern is finer than any lithography machine in history. But their speed is very slow. The light source is still too weak. In lithography, every photon is important; the thicker you generate, the faster you can place the pattern on the silicon. 

At the same time, this machine is developing to an incredibly complex size. It contains a robotic arm that moves the wafer, a motor that accelerates the reticle (the large piece of glass that maintains the pattern) to 32 times the gravity of the earth, and a full 100,000 parts, 3,000 cables, 40,000 bolts, and a two-kilometer hose . To make matters worse, everything is interconnected: let one part work, and it will cause problems elsewhere. For example, it turns out that the heat from EUV light microscopically changes the size of the mirror. This forces Zeiss and ASML to develop sensors that can detect any changes, triggering software to use precision actuators to change the position of the mirror.

"When we correct one problem, we move on to the next problem," Benschop said. "Every mountain you climb, you will see the next higher mountain."

Many observers in the microchip industry have watched ASML fall behind schedule time and time again, thinking they will fail. 

CJ Muse, Evercore's semiconductor industry analyst, said: "95% of smart people think EUV will never work."

Although ASML discourages EUV, they and others in the industry are implementing more sophisticated techniques to extend the performance of deep ultraviolet light as much as possible and package more transistors on the chip. A technique called "immersion" involves placing a layer of water on the chip, which refracts incident light and focuses it into a tighter pattern. 

Lithography engineers have also developed a technique of patterning and engraving multiple times on the chip layer-so-called "multiple patterning"-to produce finer details. In short, these methods push chip components down to 20 nanometers. 

But these weird innovations also make chip manufacturing more complicated. Immersion requires controlling the presence of water during the fine lithography process, which is by no means easy. Chip designers find it troublesome to change their designs to use multiple patterns. Deep ultraviolet has exhausted its power-everyone knows this.

However, by the mid-2010s, EUV seemed to be finally rescued. Brown and his team delved into the scientific literature, looking for ways to get more information from each tin drop. As a former university researcher who studies plasma physics, he is widely known within ASML for asking sharp scientific questions; the CTO jokingly gave him a plaque that read "Scientifically accurate but practically useless."

However, this time, immersion in the scientific literature pays off. It puts forward the concept of hitting each tin drop twice with a laser. The first explosion flattens the droplets into a pancake shape, which allows the second explosion (one millionth of a second) to produce more EUV. Brown's team devised a way to do this on a large scale.

Other discoveries were accidental. As their ability to burn tin increases, this process produces more debris than hydrogen can remove. The performance of the mirror is degraded. One day, they discovered an interesting thing: After the machine was turned on for maintenance, the mirror did not degrade so quickly. It turns out that the oxygen in the incoming air helps reverse pollution. ASML occasionally adds a small amount of oxygen to the design.

By mid-2017, the company finally conducted a working demonstration to etch chips at an industry-friendly rate-125 wafers per hour. In his office in San Diego, Brown watched a demonstration in the Netherlands. He was elated; he changed into a Hawaiian shirt and declared that he could finally go on vacation. 

"This thing is like zzzt zzzt zzzt zzzt," he recalled, mimicking the speed at which the reticle pulls around and the speed at which the robotic arm slides in a new wafer approximately every 30 seconds. "This is the last domino, basically saying,'Yes, EUV lithography will happen.'" 

That year, ASML finally began shipping machines that would revolutionize chip manufacturing. Once the market realized that ASML had a monopoly on cutting-edge tools, its stock began to soar, reaching $549, making the company's market value almost equal to Intel. 

If you are a reducer like me, then this machine is really beautiful—a miracle of engineering. When I visited Wilton, they took me to look at a large piece of milled aluminum that forms the top of the equipment. It is eight feet long, six feet wide, and two feet thick. It gleams like the chassis of a spaceship. It holds a glass marking, and it also has a huge barrel-shaped molecular pump installed on it. Each pump contains tiny blades rotating at 30,000 RPM, sucking all the gas out of the machine to create a vacuum inside. "Actually, they knock the gas molecules away one at a time," Whelan told me. 

One might argue that the main success of ASML is not so much a manufacturing machine as a measuring machine. When I took off my rabbit suit, I visited the mechanical workshop, where there was a large piece of glass being carved for marking. After each piece of glass is polished, it is placed on the machine and gradually polished for hundreds of hours in a few weeks. As Guido Capolino, the machine shop manager, told me, they have been measuring the glass to see how many flaws have been removed, starting with coarse micrometers. He pointed to a polishing machine behind us, the glass shards slowly rotating on top of the wet polishing mixture. 

"For the variability here, we are at the angstrom and nanometer level," he said. The use of glass in markings is crucial; it is not deformed by heat like metal. But it is very difficult to engrave-this is another problem that engineers have to solve slowly.

ASML's success in EUV has won the deep respect of companies throughout the microchip industry. Chris Mack has 4 years of experience in the field of chip lithography and is currently the CTO of Fractilia, a company that manufactures software for chip manufacturing. He said that the reason for the success of ASML and its partners—others didn't even dare to try—was pure stubborn persistence.

"They peeled the onions," he told me. "They're gone, oh, now I get the next layer. Then they pull that layer. Then no one really knows whether its core is rotten or it will get better. They just keep peeling. It is commendable that they never give up."

Now that they have the ability to continue to make smaller and smaller components, large companies such as Intel, TSMC and Samsung can make faster and more energy-efficient chips.

"Our designers can breathe a sigh of relief," said Intel's Sam Sivakumar. "Moore's Law is still alive." 

As more and more EUV machines come online and their costs are amortized, the technology will penetrate more and more everyday equipment. The place that will not benefit from the EUV revolution at least in the short term is China. 

Fearing that China poses a technical threat, both the Trump and Biden administrations have successfully pressured the Netherlands to prevent ASML from selling EUV machines to customers there. 

Can China simply manufacture its own EUV equipment? Some industry observers suspect it can't. The success of ASML in EUV requires extensive cooperation with companies around the world from Germany, the United States to Japan (which makes chemicals essential for photolithography masks). Will Hunter, an analyst at the Center for Security and Emerging Technologies at Georgetown University, said that China is relatively isolated and there are few opportunities on its own. "It can't really close this gap," he said.

Other observers believe that China's ability to purchase EUV machines may be delayed. CJ Muse said that under normal circumstances, the previous-generation tools used by Chinese chipmakers are one step behind those used by Taiwan Semiconductor Manufacturing Co., Ltd., South Korea's Samsung, or the United States Intel. Therefore, when ASML's first-generation EUV machines become somewhat obsolete-a few years later-and the industry shifts to newer models, China may be allowed to buy them.

In fact, ASML is already developing an improved version of the device. Due to the so-called higher numerical aperture, it will be able to focus EUV light to a sharper degree, enabling it to etch components that may be less than 10 nanometers wide. This "high numerical aperture" EUV machine will have a larger mirror, so the entire machine needs to be larger. Intel is currently the first customer of these next-generation machines, and it expects to sell its first chips made with them by 2025. 

ASML and most observers believe that EUV will help chip development at least until 2030, and possibly even longer. After all, some of the techniques developed by chip designers to make deep ultraviolet last so long should be repeatable with EUV. 

But at some point in the next decade or so, the chip industry’s desire to shrink features will begin to encounter some physical limitations that are even harder to overcome than they currently have. On the one hand, quantum problems are beginning to emerge. In fact, they already have: chip manufacturers using ASML's EUV machines must fight "random errors"-EUV light will naturally go astray and produce incorrect patterns on the chip. These are not compelling issues, but as small chip manufacturers develop, they will become more frowning. 

Assuming "high numerical aperture" makes Moore's Law last until 2030, what will happen next? Industry experts believe that ASML will continue to explore higher numerical aperture devices, allowing them to focus EUV on smaller and smaller points. At the same time, chip designers are studying strategies for improving chips that are less dependent on further miniaturization, such as scaling up the architecture and building to the third dimension by stacking chip layers. As for what lithography technology will appear after EUV, no one knows yet. Intel's Sivakumar will not guess; Mack said that apart from the high NA EUV, "nothing else" is being intensively developed.

In the Wilton clean room, Whelan showed me their high NA EUV machine. He rolled up a huge garage door and led me into a brand new clean room the size of a football field. There is a shiny aluminum marking bed in the corner. It is like I saw on the original EUV machine, but it can no longer be comfortably placed in the living room; it is almost the same size as a subway car and weighs 17 tons. They had to install a crane on the roof to move it. 

"So," Whelan said, "this will be a machine that will help us continue to push Moore's Law into the future."

Correction: An earlier version of this article stated that more than 1,000 EUV machines have been installed. In fact, it is more than 100.

Clive Thompson is a technology journalist in New York City and the author of "Programmers: The Formation of New Tribes and the Rebuilding of the World."

The P vs NP solution can solve countless computational problems—or make them out of reach forever.

The U.S. government is embarking on a generation-long battle to counter the threat to encryption posed by next-generation computers.

But the reasons are complicated - and it's not all bad news.

A US cybersecurity company was behind the iPhone hacker in 2016. The hacker was sold to a group of mercenaries and used by the United Arab Emirates.

Discover special offers, popular stories, upcoming events and more.

Thank you for submitting your email!

There seems to be something wrong.

We were unable to save your preferences. Try to refresh this page and update them again. If you continue to receive this message, please contact us at customer-service@technologyreview.com and provide a list of newsletters you wish to receive.

Our mission is to make smarter and more conscious decisions about technology through authoritative, influential and trustworthy news reports.

Subscribe to support our news work.